5. The NSR-S635E immersion scanner realizes ultra-high precision and productivity

The NSR-S635E, released in 2018, realizes the ultra-high precision and productivity required for leading-edge semiconductor production. It was made possible by the Streamlign Platform and advanced inline Alignment Station (iAS).

Image

Streamlign Platform

Used in Nikon's semiconductor lithography systems since 2008, this proprietary Nikon platform has been highly acclaimed both domestically and internationally. Three features enable dramatic improvement of operating rates, in addition to realizing ultra-high precision and productivity.

Feature 1: Bird's Eye Control

The wafer placed on the stage is conveyed to a position where it will be exposed beneath the projection lens. Precise control of this stage movement is one of the most important factors affecting overlay accuracy.
With Bird's Eye Control, a high-precision encoder directly measures the scale on the stage from immediately above. A hybrid measurement system, using the encoder in combination with an interferometer, realizes optimal stage performance. Moreover, the reticle stage position is measured using 2D encoders, thus reducing the effects of fluctuations in the air during each stage position measurement. These functions enable advanced focus control and greatly improved precision and stability.

Image

Feature 2: Stream Alignment

Productivity as well as high accuracy is essential for semiconductor lithography systems. Both of these are made possible by Stream Alignment.
With straight line AF, which has a wide beam span and covers the entire wafer diameter, mapping the entire wafer surface in one go is possible, realizing the improved focus control and increased speed essential for exposure. Moreover, by increasing the number of FIA (Field Image Alignment) microscopes to five (Five-Eye FIA), the number of alignment measurement points is increased while ensuring productivity, enabling more precise measurements.

Image

Feature 3: Modular2 Structure

Semiconductor lithography systems, which are described as "the most precise machines in history," require advanced skills for their installation and maintenance. The Modular2 Structure is designed to enable quick on-site response.
Installation time is dramatically reduced by modularizing the entire device into individual units. This also supports replacement of small parts, greatly improving maintenance. As a result, losses due to device downtime are reduced, greatly contributing to an improved operating rate. Moreover, employment of a highly expandable platform design enables device upgrades.

Image

Advanced inline Alignment Station (iAS)

There are grid distortions that are invisible to the naked eye on the wafer surface, and compensating for these is extremely important in order to perform overlay exposure of nanometer level circuits.
Nikon developed the inline Alignment Station (iAS) with proprietary Nikon technologies, and incorporated it into the NSR-S635E. Absolute grid distortion values are measured quickly with ultra-high precision for all wafers prior to exposure. Calculated correction values are then fed forward to the lithography system, allowing previously unattainable high-precision overlay compensation for each wafer and every shot.

Explanatory diagram of silicon wafer processing from deposition and resist coating to exposure, overlay measurement and etching. Regarding NSR-S635E, the inline Alignment Station (iAS) measures absolute grid distortion values for all wafers prior to exposure and feeds forward correction values to the lithography system.